請問一下verilog怎樣寫數碼管顯示

時間 2021-05-02 11:30:44

1樓:匿名使用者

1、首先設計數碼管各段連線數字埠。

2、然後設定 4~11 引腳為輸出模式。

3、接著建立顯示數字5函式。

4、然後主體顯示數字5。

5、然後延遲一秒。

6、最後建立顯示函式4。

7、主體顯示數字4,這樣就完成了數碼管顯示數字。

2樓:褐雨黑桐

分出兩個輸出埠,乙個控制段碼乙個是位碼控制,下面是個完整程式

//數碼管恆定顯示0到7

module seg2(clk,rst,dataout,en);

input clk,rst;

output wire [7:0] dataout;

output wire [7:0] en;

reg [15:0] cnt_scan;

reg [3:0] data4;

reg [7:0] dataout_xhdl1;

reg [7:0] en_xhdl;

assign dataout=dataout_xhdl1;

assign en=en_xhdl;

always@(posedge clk or negedge rst)

begin

if(rst==0)

cnt_scan<=16'b0; //清零

else

cnt_scan<=cnt_scan+1;

case(cnt_scan[15:13]) //數碼管使能端

0:en_xhdl<=8'b11111110;

1:en_xhdl<=8'b11111101;

2:en_xhdl<=8'b11111011;

3:en_xhdl<=8'b11110111;

4:en_xhdl<=8'b11101111;

5:en_xhdl<=8'b11011111;

6:en_xhdl<=8'b10111111;

7:en_xhdl<=8'b01111111;

default:en_xhdl<=8'b11111110;

endcase

case(en_xhdl) //數碼管對應到數字

8'b11111110:data4<=4'b0000;

8'b11111101:data4<=4'b0001;

8'b11111011:data4<=4'b0010;

8'b11110111:data4<=4'b0011;

8'b11101111:data4<=4'b0100;

8'b11011111:data4<=4'b0101;

8'b10111111:data4<=4'b0110;

8'b01111111:data4<=4'b0111;

default:data4<=4'b1000;

endcase

case(data4) //數碼管顯示數字

4'b0000:dataout_xhdl1<=8'b11000000;

4'b0001:dataout_xhdl1<=8'b11111001;

4'b0010:dataout_xhdl1<=8'b10100100;

4'b0011:dataout_xhdl1<=8'b10110000;

4'b0100:dataout_xhdl1<=8'b10011001;

4'b0101:dataout_xhdl1<=8'b10010010;

4'b0110:dataout_xhdl1<=8'b10000010;

4'b0111:dataout_xhdl1<=8'b11111000;

4'b1000:dataout_xhdl1<=8'b10000000;

4'b1001:dataout_xhdl1<=8'b00011001;

4'b1010:dataout_xhdl1<=8'b00010001;

4'b1011:dataout_xhdl1<=8'b11000001;

4'b1100:dataout_xhdl1<=8'b01100011;

4'b1101:dataout_xhdl1<=8'b10000101;

4'b1110:dataout_xhdl1<=8'b01100001;

4'b1111:dataout_xhdl1<=8'b01110001;

default:dataout_xhdl1<=8'b00000011;

endcase

endendmodule

用verilog程式設計,如何四個八位數碼管上顯示不同的數字? 50

3樓:yyy遊城十代

雖然是2023年的問題,但是我現在也遇到了這個麻煩,回答留在這幫助一下以後遇到這個問題的人。同時顯示不同的數我能想到一種方法,但是需要的是fpga的支援,這種方法需要在每個數碼管前面放乙個鎖存器,用這個鎖存器向這個數碼管提供顯示資料,當需要修改數碼管顯示的時候,更新鎖存器內容就行了,這種方式也稱為直流法(直接驅動);還有一種不同時顯示但是可以給人一種同時顯示的感覺,那就是先顯示第乙個,再顯示第二個,再顯示第三個,再顯示第四個,只要時間間隔夠短,在人們看來就是同時顯示,這種方法不需要鎖存器的支援,因為我們本來就是一次顯示乙個,這種方式稱為動態掃瞄

4樓:匿名使用者

你咋還不懂呢,你四個管子輸出相同的原因在於你四個管子的輸入接的是一樣的,你想輸出不一樣只有二種辦法,一種是四份輸入分別接四個管子,乙個是1份輸入擴大線寬,每個管子接不同的線位。也就是相當於乙個mux。

同學父親去世了想在群裡發一下通知該怎樣寫

小墨談社會 唁感謝簡訊 弔唁感謝簡訊 親朋好友因病去世,不能前去參加葬禮,可寫封弔唁信,寄給去世者的親人,表示哀悼之情。弔唁信要寫低沉些,可對死者讚頌,可對親屬進行安慰,表示自己悲痛的心情。舉例說明 表哥 來信收到。得知姨媽去世,我們全家十分悲痛,我的心中更是難受不已。只因沒能親自參加老人家的葬禮,...

請問一下,紅燒馬鮫魚怎樣做好吃?

一,準備食材,馬鮫魚清洗乾淨,用薑絲和料酒醃製半個小時,相間的做法,醃製的時候要加鹽蒜頭切片生薑切絲蔥白切碎紅椒切碎紅椒切段,二,起鍋燒油,馬鮫魚,幹水分,油燒熱後下入鍋中煎,三,一面定型後再翻面,兩面煎至金黃,四,馬鮫魚煎好盛出備用,五,起鍋燒油下蔥薑蒜爆香,六,沿鍋邊倒入一勺生抽,馬鮫魚,倒入鍋...

請問一下,如果我寫燭光裡老師作文

媽媽我想對您說,話到嘴邊又嚥下。媽媽我想對您笑,眼裡卻點點淚花。燭光中,若隱若現的是您身影,是您的微笑,是您的愛的化身和真情的心。毛阿敏高亢而又略帶深沉的嗓音,歌曲優雅的曲調,她的深情的真心演唱,沒有人不會為之打動。歌中透出的是一股柔情,柔情中包含了對母親深切的摯愛,媽媽的印著牽掛的臉頰 泛起霜花的...