用74ls138設計全加器,用74ls138設計一個全加器

時間 2021-08-15 12:11:00

1樓:匿名使用者

首先得弄清楚全加器的原理,你這裡說的應該是設計1位的全加器。

全加器有3個輸入端:a,b,ci;有2個輸出端:s,co.

與3-8譯碼器比較,3-8譯碼器有3個資料輸入端:a,b,c;3個使能端;8個輸出端,out(0-7)。

這裡可以把3-8譯碼器的3個資料輸入端當做全加器的3個輸入端,即3-8譯碼器的輸入a、b、c分別對應全加器的輸入a,b,ci;將3-8譯碼器的3個使能端都置為有效電平,保持正常工作;這裡關鍵的就是處理3-8譯碼的8個輸出端與全加器的2個輸出的關係。

現在寫出全加器和3-8譯碼器的綜合真值表:

(a/a,b/b,c/ci為全加器和譯碼器的輸入,out為譯碼器的輸出(0-7),s為加法器的和,co為加法器的進位輸出)ps:假定譯碼器的輸出為高電平有效。

a/a   b/b  c/ci     out   s    co

0     0     0        0     0     0

0     0     1        1     1     0

0     1     0        2     1     0

0     1     1        3     0     1

1     0     0        4     1     0

1     0     1        5     0     1

1     1     0        6     0     1

1     1     1        7     1     1

根據上面的真值表,可以設計出電路圖:

將3-8譯碼器的輸出out(1、2、4、7)作為一個4輸入的或門的輸入,或門的輸出作為加法器的和;將3-8譯碼器的輸出out(3、5、6、7)作為一個4輸入的或門的輸入,或門的輸出作為加法器的進位輸出。即完成了加法器的設計。

回過頭來分析:

當加法器的輸入分別為:a=1,b=0,ci=1時,對應3-8譯碼器的輸入為a=1,b=0,c=1,這是譯碼器對應的輸出為out(5)=1,其餘的為0,根據上面設計的連線關係,s=0,co=1,滿足全加器的功能,舉其他的例子也一樣,所以,設計全加器的設計正確。

2樓:mrq蒙牛

設a為加數b為被加數 低位進位為ci-1 和為s 進位為cia b ci-1 s ci

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 1 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

___________

— — — —

s=y1.y2.y4.y7

___________

— — — —

ci=y3.y5.y6.y7

接線圖我就不幫你畫了

如何用給定晶片實現組合邏輯電路? 分別用74ls138、74ls153實現一個1位二進位制數的全加器 10

3樓:匿名使用者

根據全加器真抄值表,可寫出和襲s,高位進位co的邏輯函

數。 a1a0作為兩個輸入變數,即加數和被加數a、b,d0~d3為第三個輸入變數,即低位進位ci,1y為全加器的和s,2y全加器的高位進位co,則可令資料選擇器的輸入為:a1=a,a0=b,1do=1d3=ci,1d1=1d2=ci反,2d0=0,2d3=1,2d1=2d2=ci,1q=s1,2q=co; 可以根據管腳所對應的連線電路

如何使用整合譯碼器74LS138設計三人表決器幫

將3 8譯碼器的輸出out 1 2 4 7 作為一個4輸入的或門的輸入,或門的輸出作為加法器的和 將3 8譯碼器的輸出out 3 5 6 7 作為一個4輸入的或門的輸入。或門的輸出作為加法器的進位輸出。即完成了加法器的設計。回過頭來分析 當加法器的輸入分別為 a 1,b 0,ci 1時。對應3 8譯...

怎樣用74ls138實現三輸入組合邏輯電路的設計

先列出真值表,寫邏輯表示式 怎樣用74ls138實現三輸入組合邏輯電路的設計? 74ls138是3 8譯碼器,就是3個輸入!要求什麼組合邏輯電路?使用3線 8線譯碼器74ls138和閘電路設計一個組合邏輯電路,其輸出邏輯函式為 5 就醬挺好 把每個式子表示成最小項相加,輸入端就是這些最小項,輸出端就...

74ls138引腳圖及功能表,74LS138引腳圖及各腳的功能

敲黑板劃重點 功能表 當乙個選通端 e1 為高電平,另兩個選通端為低電平時,可將位址端 a0 a1 a2 的二進位制編碼在y0至y7對應的輸出端以低電平譯出。利用 e1 e2和e3可級聯擴充套件成 24 線解碼器 若外接乙個反相器還可級聯擴充套件成 32 線解碼器。若將選通端中的乙個作為資料輸入端時...